您好,欢迎来到中国测试科技资讯平台!

首页> 《中国测试》期刊 >本期导读>一种应用于SRAM型FPGA寄存器的容错设计

一种应用于SRAM型FPGA寄存器的容错设计

3323    2018-09-27

免费

全文售价

作者:邱根, 毕东杰, 彭礼彪

作者单位:电子科技大学自动化工程学院, 四川 成都 611731


关键词:双模冗余;故障容错;寄存器;软错误;三模冗余


摘要:

寄存器作为SRAM型FPGA系统设计中的一个重要组成部分,容易受到太空环境中的高能粒子影响而发生软错误。三模冗余设计方法能够对寄存器进行容错防护,但带来大量的资源和功耗开销;针对此问题该文设计一种基于奇偶校验的双模冗余防护结构,以触发器为单位,利用FPGA查找表结构特点,将两位触发器作为一组进行容错设计,通过奇偶校验选择正确结果输出,能从细粒度方面对寄存器的数据位进行错误屏蔽。该方法于Xilinx Virtex-6 FPGA中进行设计验证,实验结果表明该方法设计实现的寄存器相对于三模冗余设计方法能减少16.7%的触发器资源和50%的查找表资源。


A fault-tolerant scheme applying to SRAM-based FPGA registers

QIU Gen, BI Dongjie, PENG Libiao

School of Automation Engineering, University of Electronic Science and Technology of China, Chengdu 611731, China

Abstract: Register is an important part of SRAM-based FPGA system that can be affected by soft errors caused by high energy particles in the space environment. Triple modular redundancy (TMR) can effectively achieve fault-tolerant protection for registers, but it brings a lot of resources and power consumption. A scheme of parity check dual modular redundancy (PC-DMR) is presented for such problems based on the structure of the LUTs in FPGAs with flip-flops as the unit. This scheme designs two flip-flops as a fault-tolerant pair, and it uses parity check to select the correct output and shield errors of register data from a fine-grained aspect.The scheme is designed and verified in Xilinx Virtex®-6 FPGA, and the results show that the proposed scheme can reduce 16.7% flip-flop resources and 50% LUTs resources relative to the triple modular redundancy design scheme.

Keywords: DMR;fault-tolerant;registers;soft error;TMR

2018, 44(9): 75-79,95  收稿日期: 2018-05-03;收到修改稿日期: 2018-06-27

基金项目: 国家自然科学基金(61701095,61601096,61371049);四川省科技计划项目(2017GZ0338);装备发展部重点基金(9140A17050215DZ02047)

作者简介: 邱根(1982-),男,四川乐山市人,助教,硕士,主要从事集成电路测试和无损检测方面的研究

参考文献

[1] DONTHI S, HAGGARD R L. A survey of dynamically reconfigurable FPGA devices[C]//Proceedings of the 35th Southeastern Symposium on System Theory. Morgantown:IEEE, 2003:422-426.
[2] ASADI H, TAHOORI M B. Analytical techniques for soft error rate modeling and mitigation of FPGA-based designs[J]. IEEE Transactions on VERY LARGE SCALE INTEGRATION (VLSI) Systems, 2007, 15(12):1320-1331
[3] 黄正峰, 倪涛, 欧阳一鸣, 等. 容忍单粒子多节点翻转的三模互锁加固锁存器[J]. 电子科技大学学报, 2016, 45(5):750-756
[4] STODDARD A, GRUWEEL A, ZABRISKIE P, et al. A hybrid approach to FPGA configuration scrubbing[J]. IEEE Transactions on Nuclear Science, 2017, 64(1):497-503
[5] DEMIRCI M, REVIRIEGO P, MAESTRO J A. Implementing double error correction orthogonal Latin Squares Codes in SRAM-based FPGAs[J]. Microelectronics Reliability, 2016, 56:221-227
[6] REVIRIEGO P, PONTARELLI S, MAESTRO J A, et al. A method to construct low delay single error correction (SEC) codes for protecting data bits only[J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2013, 32(3):479-483
[7] STERPONE L, VIOLANTE M, PANARITI A. Layout-aware multi-cell upsets effects analysis on TMR circuits implemented on SRAM-based FPGAs[J]. IEEE Transactions on Nuclear Science, 2011, 58(5):2325-2332
[8] GHADERI Z, MIREMADI S G, ASADI H, et al. HAFTA:highly available fault-tolerant architecture to protect SRAM-based reconfigurable devices against multiple bit upsets[J]. IEEE Transactions on Device and Materials Reliability, 2013, 13(1):203-212
[9] SAEN M, TOBA T, KANNO Y. Soft-error-tolerant dual-modular-redundancy architecture with repair and retry scheme for memory-control circuit on FPGA[J]. IEICE Transactions on Electronics, 2017, 100(4):382-390
[10] MARTINS V M G, VILLA P R C, NETO H C C, et al. A TMR strategy with enhanced dependability features based on a partial reconfiguration flow[C]//IEEE-Computer-Society Annual Symposium on VLSI (ISVLSI). Montpellier:IEEE, 2015:161-166.
[11] FENG Z, JING N F, CHEN G S, et al. IPF:In-place X-filling to mitigate soft errors in SRAM-based FPGAs[C]//2011 International Conference on Field Programmable Logic and Applications (FPL). IEEE, 2011:482-485.
[12] LEE D S, WIRTHLIN M, SWIFT G, et al. Single-Event Characterization of the 28 nm Xilinx Kintex-7 Field-Programmable Gate Array under Heavy Ion Irradiation[C]//Radiation Effects Data Workshop. IEEE, 2014:1-5.
[13] SHOOMAN M L. Reliability of computer systems and networks:fault tolerance, analysis and design[M]. New York:John Wiley & Sons, Inc., 2002:158-161